세일즈코드 세일즈코드
레포트 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
    • 공학,기술계열
    • 2013/07/30 leewk2547 6 페이지 2,000원 다운 0회 구매평가 
    • 1. 제목 : VHDL을 통한 Gray Code 설계 2. 개요 : 1) 목적 : Karnaugh map을 이용하여 Gray code를 설계하고 검증하여, Quartus2와 Karnaugh map을 통한 minimization에 대한 이해도를 높인다.
    • 기타
    • 2007/05/50 CPIA_jykim 2 페이지 1,000원 다운 2회 구매평가 
    • VHDL 하드웨어 시스템 / ()
    • 자연과학계열
    • 2014/04/21 leewk2547 13 페이지 2,000원 다운 16회 구매평가 
    • 1. 도어락의 개요 도어락은 번호를 입력받아서 그 번호가 일치할 경우 문이 열리고 일정 횟수 이상을 틀릴 경우 알람이 작동한다. 2. 입력, 출력 및 상태 ① 입력 - 클럭 : clk - 리셋 : rst - 입력버튼 :...
    • 공학,기술계열
    • 2014/04/16 leewk2547 6 페이지 1,500원 다운 3회 구매평가 
    • 4비트 가산기는 4비트인 2개의 입력신호를 더하는 역할을 한다. 예를 들어 1011 + 1100 = 10111이다. 기본적인 4비트 병렬 가산기는 4개의 전가산기로 구성된다. 두 개의 입력 신호는 , 로 주어지며, 각 가산기의...
    • 공학,기술계열
    • 2014/01/19 leewk2547 26 페이지 2,000원 다운 0회 구매평가 
    • library ieee; use ieee.std_logic_1164.all; entity andgate is port( sw1 : in std_logic; sw2 : in std_logic; led : out std_logic); end andgate; architecture sample of andgate is begin led <= sw1 and...
    • 공학,기술계열
    • 2014/01/19 leewk2547 26 페이지 2,000원 다운 0회 구매평가 
    • library ieee; use ieee.std_logic_1164.all; entity logic1 is port(a,b,c :in bit; y :out bit); end logic1; architecture sample of logic1 is signal w, x : bit; begin no1: process(a,b) begin if (a=1)...
    • 자연과학계열
    • 2013/12/12 leewk2547 11 페이지 2,000원 다운 1회 구매평가 
    • 노약자, 장애인 등의 이용공간에서 수동문의 단점을 완벽하게 극복 각종 안전장치로 시스템을 통제되므로 기존 수동문에서 보여왔던 손끼임 현상, 도어이탈에 따른 사고 등을 예방
    • 공학,기술계열
    • 2013/09/25 leewk2547 10 페이지 2,000원 다운 3회 구매평가 
    • library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in...
123
 
중간과제물바로가기 교체별핵심노트