세일즈코드 세일즈코드
레포트 정확도순 최신등록순 다운높은순 분량많은순 낮은가격순 높은가격순
    • 공학,기술계열
    • 2017/12/14 qazxcs123 1 페이지 1,000원 다운 0회 구매평가 
    • C# 윈도우 폼으로 만든 스탑워치입니다. 윈도우 프로그래밍 과제로 만들었던 프로그램입니다. C#과목을 배우시면 한번쯤 만들어야하는 과제로 스트레스 받지 마시고 1000원 주고 구매하시면 편합니다.
    • 공학,기술계열
    • 2013/09/25 leewk2547 10 페이지 2,000원 다운 3회 구매평가 
    • library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity stop is PORT( CLK : in std_logic; SW_A : in std_logic; SW_B : in std_logic; SW_C : in...
    • 공학,기술계열
    • 2012/04/06 leewk2547 15 페이지 2,000원 다운 6회 구매평가 
    • 스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까지 포함된 보고서입니다. 기본시계제작(0.1초~1분단위, 스탑워치)제작모듈파일입니다. 시뮬레이션캡쳐화면까지 포함된 보고서입니다.
    • 공학,기술계열
    • 2015/04/22 leewk2547 5 페이지 1,500원 다운 0회 구매평가 
    • 시간을 가지고 신중히, 천천히 여러회 측정한 후 평균을 이용한다면 오차를 줄일 수 있었을 것이다. 또한 주기측정과정에서 스탑워치의 조작에서 오차가 발생하였는데 이는 비디오를 이용하여 판독하거나 운동을...
    • 공학,기술계열
    • 2012/05/17 leewk2547 15 페이지 2,200원 다운 3회 구매평가 
    • 시뮬레이션 1. 기본 시계 제작 (0.1초~1분단위, 스탑워치) 2. hour 단위 구현을 위한 testbench & module -시뮬레이션 하는데 시간이 걸려 분단위 이상은 구현이 힘들어 별도의 module을 사용하여 구현하였습니다.
1
 
중간과제물바로가기 교체별핵심노트